; Ambongadiny Panasonic SMT Chip Mounter NPM-DX mpanamboatra sy mpamatsy |SFG
0221031100827

Products

Panasonic SMT Chip Mounter NPM-DX

Famaritana fohy:

Ny fampandehanana andalana bebe kokoa, ny kalitao tsara kokoa ary ny vidiny ambany kokoa miaraka amin'ny gorodona rafitra fametrahana mandeha ho azy


Product Detail

Tags vokatra

19

Ny taranaka manaraka amin'ny famokarana famokarana (andian-dahatsoratra X) an'ny Panasonic

"Smart manufacturing"

Ny fampandehanana andalana bebe kokoa, ny kalitao tsara kokoa ary ny vidiny ambany kokoa miaraka amin'ny gorodona rafitra fametrahana mandeha ho azy

Toetoetra

Fihetseham-batana miorina amin'ny fiasan'ny autonomic - Fifehezana tsipika tsy miankinaNy rafitra APC sy ny safidy fanarenana mandeha ho azy

Mitsitsy asa, mihatsara ny fampiasana - Fanaraha-maso mifantokaRafitra fitantanana gorodona sy safidy fandidiana lavitra

Ahena ny fiovaovan'ny asa - Fikarohana / entana mandeha ho azyFikarohana setup feeder, fitetezana famatsiana singa ary entana mandeha ho azy

20

Nitombo ny vokatra/kalitao

Mode fakan-tsary avo lenta OFF

Hafainganam-pandeha ambony indrindra : 184 800cph*IPC9850(1608) : 130 000cph*Fametrahana marina : ±25 μm

ON ny maody avo lenta

Hafainganam-pandeha ambony indrindra : 108 000cph*IPC9850(1608) : 76 000cph*Fametrahana marina : ±15 μm

21* Tact ho an'ny loha 16NH × 4

Fanatsarana ny fahaizana manohana ny singa

22

Fametrahana fenitra vaovao ho an'ny fahafaha-miasa tsara kokoa (mihena ny filan'ny asa)

23

Fampidirana asa bebe kokoa ilaina amin'ny fampihenana ny enta-mavesatry ny opérateur ho manara-penitra

Torolàlana momba ny singa fampianarana alohan'ny hanombohan'ny asa

24

Misintona singa izay tsy ahafahan'ny fampianarana mandeha ho azy na dia manao diagnostika tena amin'ny statut amin'ny famokarana ary mampiseho ny efijery fanohanana fanombohana aorian'ny fanovana.

Fampitandremana amin'ny fisian'ny firohondrohon'ny entona singa

25

Maminavina ny havizanana miaraka amin'ny singa samihafa (risika) ary mampandre ny mpandraharaha amin'ny fahasosorana toy izany (fampitandremana: fangatahana fanohanana) Raha ny mahazatra, dia mampiseho ny halavan'ny fotoana alohan'ny hitrangan'ny faharerahan'ny singa manaraka eo amin'ny efijery.

Mandray ny foto-kevitra sy mifanaraka amin'ny andiany NPM

Ny famoronana angon-drakitra, ny sarety feeder (17-slot), ny kasety mpanome ary ny nozzle dia mifanaraka amin'ny andiany NPMMandray ny foto-kevitry ny andiany NPM Ny fifandraisan'ny tsipika miaraka amin'ny andiany NPM-D sy NPM-TT dia alefa.

26

27

* Ny habe L dia misy misaraka, arakaraka ny haben'ny singa.

Fitaovana fametahana kasety mandeha ho azy

Manao automatique ny fametahana kasety mirefy 8 mm (taratasy/embossed).

28

Mandray ny foto-kevitra sy mifanaraka amin'ny andiany NPM

29

rafitra APC

APC-FB*1Feedback amin'ny milina fanontam-pirinty

· Miorina amin'ny angon-drakitra fandrefesana nodinihina avy amin'ny fisafoana solder, manitsy ny toerana fanontana.(X,Y,θ)

30

APC-FF * 1Fanomezana ho an'ny milina fametrahana

· Manadihady ny angon-drakitra fandrefesana ny toeran'ny solder, ary manitsy ny toeran'ny fametrahana singa (X, Y, θ) mifanaraka amin'izany. Ireo singa ao amin'ny chip (0402C/R ~) singa fonosana (QFP, BGA, CSP)

31

APC-MFB2Feedforward amin'ny AOI / Feedback amin'ny milina fametrahana

· Fanaraha-maso ny toeran'ny APC offset

· Ny rafitra dia manadihady ny angona fandrefesana ny toeran'ny singa AOI, manitsy ny toeran'ny fametrahana (X, Y, θ), ary amin'izany dia mitazona ny fahamarinan'ny fametrahana. Mifanaraka amin'ny singa chip, ny singa electrode ambany ary ny singa firaka*2

32

*1 : APC-FB (feedback) /FF (feedforward): Azo ampifandraisina koa ny milina fisafoana 3D an'ny orinasa hafa.(Azafady, anontanio ny solontenan'ny varotra eo an-toerana momba ny antsipiriany.)*2 : APC-MFB2 (tamberin'ny mounter2): Ny karazana singa azo ampiharina dia miovaova amin'ny mpivarotra AOI iray mankany amin'ny iray hafa.(Mba anontanio ny solontenan'ny varotra eo an-toerana raha mila antsipiriany.)

Safidy fanarenana mandeha ho azy - Mampianatra mandeha ho azy ny toerana misy ny pickup raha misy hadisoana

Rehefa nitranga ny hadisoana pickup/recognition dia manitsy ho azy ny toeran'ny pickup ny milina ary manohy ny famokarana.Manatsara ny tahan'ny fiasan'ny milina izany. kasety (mangarahara) dia tsy tohanana.)

Avereno ho azy ny famokarana aorian'ny fampianarana ny toerana fandraisana

33

Safidy fanarenana mandeha ho azy - Avereno indray ny singa diso (andramo indray)

Raha sendra misy hadisoana, andramo indray ny fakana tsy misy kasety.Mampihena ny singa manary izany.

Raha misy hadisoana: avereno alaina (andramo indray) amin'ny toerana misy azy*Tsy misy fahana kasety

34

Tsy misy singa ariana satria tsy omena kasety.*

□ Rehefa tafita ny re-pickup (andrana indray), dia tsy isaina ny fahadisoana□ Ny isan'ny fanisam-piverenana (andramo indray) dia azo apetraka.

* : Rehefa vita ny famerenana indray (andrana).

Safidy fanarenana mandeha ho azy - Famerenana mandeha ho azy mivoatra (fifehezana vinavina)

Ny LNB dia manadihady ho azy ny fiovaovan'ny taham-pahadisoan'ny pickup/recognition ary manome toromarika ny milina hanao fampianarana mba hisorohana ny fijanonan'ny fahadisoana amin'ny milina.

35

Safidy asa lavitra

Ny fanarenana amin'ny alàlan'ny asa lavitra dia azo alaina amin'ny fahadisoana izay azo atao ny famerenana amin'ny alàlan'ny fitsaràn'olombelona fotsiny. Izany dia mamela ny fanaraha-maso mifantoka amin'ny gorodona, manafoana ny fotoana very ho an'ny mpandraharaha hamantatra ny fahadisoana sy handray fepetra mifanaraka amin'izany, mampihena ny famerenana ny fahadisoana. fotoana, ary noho izany dia hahatratra ny fitsitsiana ny asa sy ny fanatsarana ny taham-piasana.

36

Navigation - Safidy navigator setup feeder

Izy io dia fitaovana fanohanana hivezivezena ny fomba fanamboarana mahomby.Ny fitaovana dia miankina amin'ny habetsahan'ny fotoana hanaovana sy ny famitana ny asa fanamboarana rehefa manombana ny fotoana ilaina amin'ny famokarana sy ny fanomezana ny mpandraharaha amin'ny setup toromarika.Izany dia hijery sy hanamafisana ny setup asa mandritra ny setup ho an'ny famokarana tsipika.

Navigation - Safidy navigateur famatsiana singa

Fitaovana fanohanana famatsiana singa mamakivaky ny laharam-pahamehana famatsiana singa mahomby.Izy io dia mandinika ny fotoana tavela mandra-pahatapitry ny singa sy ny lalana mahomby amin'ny hetsika ataon'ny mpandraharaha handefasana toromarika famatsiana singa ho an'ny mpandraharaha tsirairay.Izany dia mahatratra famatsiana singa mahomby kokoa.

37

38

39

* Ny PanaCIM dia tsy maintsy manana mpandraharaha miandraikitra ny famatsiana kojakoja amin'ny zotra famokarana maro.

Fikojakojana ny loha fametrahana

Ny fampiasana tsara dia vita amin'ny fiasan'ny diagnostika tena an'ny milina mba hamantarana ho azy ny fotoana fikojakojana ny loha fametrahana.Fanampin'izany, ny vondrona fikojakojana dia azo ampiasaina hitazonana ny loha fametrahana amin'ny toe-javatra miasa tsy mila fahaiza-manao.

Load checker (eo ambany fampandrosoana)

Mandrefy ny “enta-mihetsika” napetraky ny lohan'ny fametrahana, ary, araka ny habetsahan'ny fiovana avy amin'ny sanda fanondro, dia mampiseho ny vokatra refesina amin'ny fanaraha-maso ny milina na LNB.

Sampana fikolokoloana loha

Hanao automatique ny fisafoana sy fikojakojana ny lohan'ny fametrahana.

40

Ny asa fitiliana ny loha (eo ambany fampandrosoana)

Manara-maso ny toetry ny circuit pneumatic

Fikarohana lesoka *1

Manamarina ny satan'ny fitsofana fametrahana

*1: Ity fiasa ity dia tonga manara-penitra miaraka amin'ny milina

Fikarakarana feeder

Tsy miankina amin'ny fahaiza-manaon'ny mpandraharaha, ny vondrona fikojakojana feeder dia manao fanaraha-maso sy calibration ho azy.Ny fampiasana azy miaraka amin'ny maody fikojakojana PanaCIM dia afaka misoroka ho azy ny fampidirana ireo fahana tsy mifanaraka amin'ny famokarana.

Toeram-pikarakarana feeder

Manao automatique ny fisafoana ny ampahany lehibe izay misy fiantraikany amin'ny fahombiazan'ny feeder sy ny calibration ny toeran'ny pickup.

41

Fampifandraisam-peo tokana karazana manify

Thin-karazana tokan-trano fametahana * 2 (safidy)

*2: Ny "Thin type single tape feeder" sy "Autoload feeder (eo ambany fivoarana)" dia mitaky ny "Master jig for thin type single feeder" sy ny "Attachment for thin type single feeder".

PanaCIM fikojakojana

Mitantana ny fananan'ny gorodona mipetaka, toy ny milina, ny lohany ary ny mpaninjara, mampahafantatra ny fananana manakaiky ny datin'ny fikojakojana azy, ary mirakitra ny tantaran'ny fikojakojana.

Fifandraisana interlock

· Manara-maso ny toetry ny lesoka mandritra ny famokarana, ary mampihatra ny Interlock amin'ny famahanana simba

· Fifandraisana ho an'ny mpaninjara notsarain'ny IFMU fa tsy mifanaraka

Fahaiza-miova - Safidy fanovana mandeha ho azy

Ny fanohanana ny fanovana (angona famokarana sy fanitsiana ny sakan'ny lalamby) dia mety hampihena ny fahaverezan'ny fotoana

42

• PCB ID read-in typePCB ID read-in function dia azo fidina amin'ny 3 karazana scanner ivelany, fakan-tsary loha na endrika drafitra

43

M2M – iLNB* (Laharana modely NM-EJS5B)

Ny fanaraha-maso iombonana amin'ny tsipika misy anao dia tsy ny milina Panasonic ihany fa ny mpivarotra fahatelo amin'ny alàlan'ny PC tokana dia manome fanohanana ny famokarana, ny fanaraha-maso ny kalitao ary ny fanodinana.

44

zavatra

Fitetezana PANASONIC

Tsy Panasonic

Fanangonana / fampisehoana

Fiovana mandeha ho azy

* Raha mila fanazavana fanampiny, jereo ny katalaogy na ny toromarika momba ny rafitra fitantanana tsipika mitambatra “iLNB.”

Lisitra fiasa

asa

tsipiriany

1Fiovana mandeha ho azy

00001. Fisoratana anarana amin'ny fomba fanovana automatique

00002. Fiovana mandeha ho azy andalana

00003. Fanaraha-maso fanovana mandeha ho azy

00004. Fanaraha-maso ny fiasan'ny tsipika

2E-Rohy(fampidirana fampahalalana)

00001. Download / fanovana ny fandaharam-potoana

3E-Rohy(famoahana fampahalalana)

00001. Famoahana fampahalalana momba ny asa

00002. Famoahana fampahalalana trace

00003.Machine status output

4E-Rohy(Fifehezana milina)

00001.Machine interlock, Production manomboka fanaraha-maso

5E-Rohy(Manoratra Feeder)

00001. Fanoratra ny angona singa amin'ny rafitra ivelany

6 Fifandraisana (GEM・PLC)

00001.SECS2/GEM fifandraisana

00002.Fifandraisana OPC

00003.IO/RS-232C fifandraisana

*Ny iLNB dia misy rindrankajy sy solosaina (iLNB PC).PLC PC, PLC fiovam-pifandraisana ary fitaovana hafa dia tokony homanin'ny mpanjifa.

M2M - Fifandraisana momba ny fifandraisana amin'ny PCBAOI Info Display Option

Ny NPM eo amin'ny lohan'ny tsipika dia manaiky ny marika, ary mandroso ny fampahalalana marika mankany amin'ny NPM ambany.Izany dia manafoana ny filàna ny NPMs any ambany hamantarana ny marika.

Lohahevitra momba ny fifandraisana

Famantarana marika ratsy

45

Ny marika ratsy dia voazaha amin'ny milina voalohany.

Famantarana marika lamina

46

Ny marika rehetra dia fantatra amin'ny milina voalohany ary ny milina ambany ihany no mahafantatra ny marika master.

* Azafady, jereo ny “boky fanoritsoritana” raha mila fanazavana fanampiny.

47

Ny fampahalalana momba ny singa notsarain'ny NG amin'ny AOI dia aseho amin'ny AOI sy NPM.

48

AOI dia ampiasaina hamaritana ny tanjona NPM

Ny lasibatra NPM dia napetraka ao amin'ny fanjakana fampitandremana, ary ny fampahalalana avy amin'ny AOI dia aseho eo amin'ny efijery

Rafitra famoronana angona – NPM-DGS (Modely No.NM-EJS9A)

Ity dia fonosana rindrambaiko izay manome fitantanana mitambatra ny tranomboky sy ny angon-drakitra PCB, ary koa ny angon-drakitra famokarana izay mampitombo ny tsipika amin'ny alàlan'ny algorithm avo lenta sy fanatsarana.

*1:Tsy maintsy vidiana misaraka ny solosaina iray.*2:Ny NPM-DGS dia manana fiasa roa amin'ny fitantanana ny haavon'ny gorodona sy ny tsipika.

49

CAD import

50

Mamela anao manafatra angona CAD sy manamarina ny polarity, sns., eo amin'ny efijery.

Optimization

51

Mahatsapa vokatra avo lenta ary mamela anao hamorona array mahazatra ihany koa.

PPD editor

52

Fanavaozana ny angona famokarana amin'ny PC mandritra ny famokarana mba hampihenana ny fahaverezan'ny fotoana.

Tranomboky misy singa

53

Mamela ny fitantanana iraisana ny tranomboky singa ao anatin'izany ny fametrahana, ny fisafoana ary ny famoahana.

Rafitra famoronana angona – fakan-tsary an-tserasera (safidy)

Ny angon-drakitra singa dia azo noforonina ivelan'ny aterineto na dia miasa aza ny milina.

Ampiasao ny fakan-tsary tsipika mba hamoronana angona singa. Ny fepetran'ny jiro sy ny hafainganam-pandrenesana dia azo voamarina mialoha, noho izany dia manampy amin'ny fanatsarana ny vokatra sy ny kalitao.

54Fakan-tsary an-tserasera

Rafitra famoronana angona - DGS Automation (safidy)

Ny asa mahazatra amin'ny tanana mandeha ho azy dia mampihena ny lesoka amin'ny fampandehanana sy ny fotoana famoronana angona.

Ny asa mahazatra amin'ny tanana dia azo atao mandeha ho azy.Amin'ny fiaraha-miasa amin'ny rafitra mpanjifa dia azo ahena ny asa mahazatra amin'ny famoronana angon-drakitra, noho izany dia manampy amin'ny fampihenana ny fotoana fanomanana ny famokarana. toerana fametrahana (Virtual AOI).

Ohatra amin'ny sary rafitra manontolo

55

Asa mandeha ho azy (sokajy)

· Fanafarana CAD

· Fametrahana marika offset

· PCB chamfering

· Fanitsiana ny tsy fitovian'ny teboka fametrahana

· Famoronana asa

· Optimization

· Famoahana PPD

· Download

Rafitra famoronana angona - Fanatsarana ny fanamboarana (safidy)

Ao amin'ny famokarana misy modely maro, ny enta-mametraka ny asa dia raisina an-tsaina sy optimized.

Ho an'ny PCB mihoatra ny iray mizara singa iraisana fametrahana, maro setups mety takiana noho ny tsy fahampian'ny suppy units. Mba hampihenana ny asa setup ilaina amin'ny toe-javatra toy izany, safidy io mizara PCBs ho toy ny singa fametrahana vondrona, mifidy latabatra ( s) ho an'ny setup ary noho izany dia manara-maso ny fampandehanana ny singa.Mandray anjara amin'ny fanatsarana ny fahombiazan'ny fanamboarana sy ny fampihenana ny fotoana fanomanana ny famokarana ho an'ny mpanjifa manamboatra vokatra isan-karazany amin'ny fatra kely.

OHATRA

56

Safidy Fanamarinana singa - tobim-pananganana tsy misy tariby

Misoroka ny hadisoana amin'ny fanamboarana mandritra ny fiovan'ny toetr'andro

57

* Scanner Wireless sy kojakoja hafa omen'ny mpanjifa

· Misoroka mialoha ny tsy fisian'ny singaMisoroka ny diso toerana amin'ny alàlan'ny fanamarinana ny angon-drakitra famokarana miaraka amin'ny fampahalalana barcode momba ny singa fanovana.

· Fametrahana mandeha ho azy ny angon-drakitra synching asaNy milina mihitsy no manao ny fanamarinana, manafoana ny filàna hisafidy angon-drakitra fananganana misaraka.

· Fifandraisana mifamatotraIzay olana na fahatapahan'ny fanamarinana dia hampiato ny milina.

· Fampiasana fitetezanaFampiasana fitetezana mba hahatonga ny fizotran'ny fanamarinana ho mora takarina.

Miaraka amin'ny tobim-panohanana, azo atao ny manamboatra sarety famahanana an-tserasera na dia ivelan'ny gorodona famokarana aza.

• Misy karazana Tobim-panohanana roa.

58 Tobim-pamokarana herinaratra :Fanamboarana Sarety Exchange Batch – Manome hery ho an'ny mpanome sakafo rehetra ao anaty sarety.Fametrahana Feeder – manome hery ho an'ny mpamboly tsirairay.
59

Toeram-piantsonan'ny Fanamarinana singa: Fanampin'ny tobim-pamokarana herinaratra, ampiana amin'ity modely ity ny endri-javatra Fanamarinana singa. Ny fiantsonana dia hivezivezy anao any amin'ny toerana ilain'ny mpamatsy sakafo.

Open interface - Safidy fifandraisana amin'ny mpampiantrano

Afaka manara-penitra ny fifandraisana amin'ny rafitra ampiasainao ankehitriny.Manome fifandraisana angon-drakitra miaraka amin'ny interface mahazatra.

60

· HetsikaMamoaka hetsika ara-potoana amin'ny fitaovana

· Fanamarinana singa hafa an'ny orinasaMifandraisa amin'ny rafitra fanamarinana singa misy anao

· Data fitantanana singa

· Angon-drakitra sisa tavela: Mamoaka angona sisa tavela

· Trace data: Outputs data mifandray amin'ny singa fampahalalana (*1) sy PCB information (*2)

(*1) Mitaky fampidirana fampahalalana momba ny singa misy safidy fanamarinana singa na rafitra fanamarinana singa iray an'ny orinasa hafa I/F(*2) Mitaky fampidirana fampahalalana PCB miaraka amin'ny safidy fanovana mandeha ho azy

Specification:

ID modely

NPM-DX

PCB refy (mm) * Rehefa ny lava spec.conveyor no voafidy

Fomba tokana

L 50 × W 50 ~ L 510 × W 590

Fomba roa-lane

L 50 × W 50 ~ L 510 × W 300

PCB fifanakalozana fotoana * Rehefa ny fohy spec.conveyor no voafidy

2.1 s ( L 275 mm na latsaka) 4.8 s ( L 275 mm na mihoatra ny L 460 mm na latsaka) *Mety hiova arakaraka ny fepetra arahana PCB.

Loharano elektrika

3-phase AC 200, 220, 380, 400, 420, 480 V 5.0 kVA

Loharano pneumatic *1

Min.0,5 MPa, 200 L / min (ANR)

refy (mm)

W 1 665 * 2 × D 2 570 * 3 × H 1 444 * 4

-bahoaka

3 600 kg (Ho an'ny vatana lehibe ihany: Miankina amin'ny safidy safidy izany.)

Lohan'ny fametrahana

Maivana 16-nozzle loha V2 (Isan-doha)

Loha-nozzle maivana 8 (Isan-doha)

4-nozzle loha (Isan'ny loha)

Max.hafainganam-pandeha

46 200 cph(0,078 s/chip)

24 000 cph(0,150 s/chip)

8 500 cph (0,424 s/chip)8 000 cph (0,450 s/QFP)

Fahamarinan'ny fametrahana (Cpk≧1)

± 25 μm/Square chip

±25 μm/ Square chip

±40 μm/QFP

□12 mm ambanin'ny ±25 μm/QFP

□12 mm ny

□32 mm

±20 μm/ QFP

Ny refin'ny singa (mm)

0201 singa *5*6 / 03015 singa *50402 singa *5 hatramin'ny L 6 x W 6 x T 3

0402 singa *5 ~L 45 x W 45 na L 100 x W 40 x T 12

puce 0603 ~ L 120 x W 90 na L 150 x W 25 x T 30

Componentsupply

fametahana

Tape: 4 / 8 / 12 / 16 / 24 / 32 / 44 / 56 mm

Tape: 4 ~ 56 / 72 / 88 / 104 mm

fametahana

4,8 mm kasety: Max.136

tapa-kazo

Max.32 (Fanampiana tapa-kazo tokana)

*1: Ho an'ny vatana lehibe ihany

*2: 2 265 mm ny sakany raha apetraka eo amin'ny andaniny roa ny fanitarana conveyors (300 mm).

* 3: Dimensions D anisan'izany ny sarety feeder

*4: Tsy tafiditra ao anatin'izany ny fanaraha-maso, ny tilikambo famantarana ary ny fonon'ny fantson-drivotra.

*5: Ny singa 0201/03015/0402 dia mila mpanome nozzle/kasety manokana.

*6: Ny fametrahana singa 0201 dia tsy voatery.(Ao anatin'ny fepetra nofaritan'ny Panasonic)

* Mety tsy hitovy kely ny fotoana sy ny sanda marina amin'ny fametrahana, arakaraka ny fepetra.

* Azafady, jereo ny bokikely famaritana raha mila fanazavana fanampiny.

Hot Tags: panasonic smt chip mounter npm-dx, sinoa, mpanamboatra, mpamatsy, ambongadiny, mividy, orinasa


  • teo aloha:
  • Manaraka:

  • Soraty eto ny hafatrao ary alefaso aminay